только у нас скачать шаблон dle скачивать рекомендуем

Фото видео монтаж » ПРОГРАММЫ » Cadence JasperGold 24.03.000 Linux

Cadence JasperGold 24.03.000 Linux

Cadence JasperGold 24.03.000 Linux
Free Download Cadence JasperGold 24.03.000 | 1.4 Gb
Cadencehas releasedJasperGold 24.03.000is the first verification product to deliver complete "deep formal" systematic verification, ensuring correctness where it matters most
Owner:Cadence
Product Name:JasperGold
Version:24.03.000 Base release
Supported Architectures:x86_x64
Website Home Page :www.cadence.com
Languages Supported:english
System Requirements:Linux *
Size:1.4 Gb
.


Jasper Apps Version 2024.03
The release of Jasper(TM) Apps version 2024.03 includes several new features and enhancements in the areas listed below.

* Architectural Modeling App
* Added Support for Ranges in STATE/WIRE Columns
* C to RTL Equivalence Checking (C2RTL) App
* C2RTL Now Supports "ldexp"
* Orchestration Disabled with "engineWL_processes" Greater than One
* C2RTL Now Supports Additional Functions
* New C2RTL Warning Message
* Specifying Max Number of Signals to Paste Or Drag in C/C++ Mode
* "check_c2rtl -compile" Now Synthesizes Additional Functions by Default
* Resolved Issue with Multiple Proof Structure Sessions
* Defining 2D Arrays as Inputs/Outputs
* Clock Domain Crossing (CDC) App
* Advanced Violation Grouping Now Available
* Updated Brief Message for RDC_RS_GLCH
* New "report_convergence_same_driver" Parameter for RST_RS_CONV
* Grouping Information Now Shown on Analyze Violations Table
* New CDC RST_PH_GLCH Violation
* Reset Propagation Interrupted on Convergences with Different Polarities
* New CDC Gate-level Commands
* Enhanced CLK_IS_CNST Check
* Enhanced ECDC110
* Enhanced Reset Synchronization Scheme Detection
* New CDC Parameter
* Clock Domain Crossing (CDC) App and Superlint App
* Enhanced Reporting for CDC and Superlint
* Connectivity Verification App
* Expanded Digital Mixed Signal Support
* Report Tied-off Connections on Reverse Connectivity
* Coverage App
* Adding Waivers to a Task
* New Switch Enables Generation of Cover Items Analyzed with "-v" or "-y"
* All App Properties Except UNR Now Exported to UNICOV/VSOF By Default
* Improved "check_cov -get_*" Commands
* Cover Item Extension Now Supported
* Coverage No Longer Supports Waiving Toggle Cover Items with "-expression"
* Coverage Multi-Task Flow
* Functional Safety Verification (FSV) App
* FSV Now Handles Simulation Design Manipulations
* Low Power Verification (LPV) App
* Deprecated "-corrupt" Switch and "ports_only" Corruption Now Default
* New UPF Command Supported by LPV
* New UPF Command Supported by LPV
* Enhancing Logic Net Creation on UPF
* Security Path Verification (SPV) App
* Expanded Support for Initial Value Abstractions
* SPV Now Supports All Reset Value Abstraction Switches
* Enhanced Support for Loop Handling
* Enhanced Tooltips in SPV and X-Prop
* Sequential Equivalence Checking (SEC) App
* "set_sec_prove_cex_threshold" Deprecated
* EPF060 Now Downgradeable
* New SEC Mapping
* New SEC Switches "-fanout_of" and "-get_first_level_fanout"
* Superlint App
* Generating CDC Reports Using the "check_cdc -list -file" Command
* Support for Division Operation Overflow in VHDL
* Superlint Now Supports Additional Configuration for VHDL
* New Superlint Checks
* Visualize
* Improved Tooltip Presentation in Hierarchy Tree
* "Visualize Options" Dialog Box Now Available for Infinite Traces
* Liveness and Cover Properties Now Descriptionted by Default
* Architecture
* New Configuration Improves Consistency of Jasper Regular Expressions
* Debug Handoff
* Enabling Source Lock in Debug Handoff
* Deep Bug Hunting
* Deep Bug Hunting in a Single Proof Thread
* Hunt Strategies Now Support Local Bounded Constraints
* Design Compilation
* New VERI-2753 Error
* Reduction Methods Using "item.index" Now Supported
* Design Compilation and Miscellaneous
* "analyze" Help Now Includes "-sv17" Switch
* Design Exploration
* Added Options to Configure Source Browser Line Limit and Max ✅File Size
* Jasper Source Browser Can Now Open Gzipped Files
* Engines
* Enhanced Messaging to Include Job ID
* New "check_assumptions" Command Runs Without Invoking Q Engines
* Extended Help for WPF091
* Bit-Level Multiplier Solvers Removed from WHp, WHt, and WB Engines
* Front End
* Jasper P2P Flow Now Supports "-newperf"
* Infrastructure
* Deprecated "-nice" License Feature Reaches Error Phase
* Updated Minimum Version of "jasper_*" License Check Out
* Language Support
* Jasper Issues VERI-2776 for Streaming Concatenation without Explicit Typecast
* Miscellaneous
* Proof Structure Now Supports Assume Guarantee with Abstractions
* Jasper FSV Safety Manual Now Available
* New Commands for Gate-level Compilation
* Proofs and ProofGrid
* Proof Structure Now Supports Counter and Reset Value Abstractions
* Ignoring Disabled Properties when Copying to Proof Structure Nodes
* Orchestration Now Enabled by Default
* ProofMaster Now Supported by "check_assumptions"
* State Space Tunneling (SST)
* New Property Table Context Menu Option Clears SST Attribute
* User Interface
* Property Table Now Includes Type Assert(SST)
* Enhanced Time Column of Property Table
* Verification Management
* "save" and "restore" Now Support Restoring "connect" Commands
* vManager Integration
* File Paths Now Relative in Waveform Scripts
New Features and Enhancements
========
This section provides details about the new features and enhancements listed
above. Refer to the Jasper Apps manuals and incremental training slides
(Tech Updates) for additional information.
Architectural Modeling App
-------------
* Added Support for Ranges in STATE/WIRE Columns
You can now use ranges in WIRE and STATE columns in Architectural
Modeling tables. Ranges can be specified with the format decimal:decimal,
or they can be used in a comma delimited list along with other types of
variables.
NOTE:
. Duplication in list/ranges produces a warning.
. Invalid range formatting produces an error.
C to RTL Equivalence Checking (C2RTL) App
---------------------
* C2RTL Now Supports "ldexp"
The C2RTL App now supports the "ldexp" function from the "math.h" library.
* Orchestration Disabled with "engineWL_processes" Greater than One
With this release, C2RTL automatically disables orchestration if you set
"engine_mode" and "engineWL_processes" is greater than one. The tool issues
the following warning:
WPF101: Orchestration does not support engineWL_processes value different
than 1. Orchestration turned off.
* C2RTL Now Supports Additional Functions
The C2RTL App now supports the following additional functions:
. exp
. log
. log10
. sqrt
. acos
. atan
* New C2RTL Warning Message
C2RTL now issues the following message when the in-code unroll limit conflicts
with the Tcl unroll limit:
WARNING (WCTR040):
Embedded unroll limit conflicts with Tcl unroll limit command for line {line}
in file "{fileName}".
Ignoring the embedded unroll limit, and accepting the Tcl command with
limit {limit}.
* Specifying Max Number of Signals to Paste Or Drag in C/C++ Mode
When multiple signals are pasted or dragged into the waveform in C/C++ mode,
the tool issues a message that shows the number of signals that will be
Descriptionted so you can specify whether to Description them all. With this release, you
can use the new "set_c2rtl_max_signals_to_Description" configuration to specify
a maximum number of signals that can be pasted for dragged into the waveform
without this message appearing.
* "check_c2rtl -compile" Now Synthesizes Additional Functions by Default
The "c2rtl_compile_math_extended" configuration is now enabled by default.
Thus, the "check_c2rtl -compile" command synthesizes the behavior of
additional functions by default. See the full help for additional information
(help check_c2rtl -gui).
* Resolved Issue with Multiple Proof Structure Sessions
Now, when you use Proof Structure in a multi-session setup, the tree is
correctly shown when you switch between sessions. What was expanded or
collapsed in the tree is preserved in each session.
* Defining 2D Arrays as Inputs/Outputs
The C2RTL flow now supports multidimensional arrays of basic data types as
interface signals. For example, if the code contains a three dimensional
array, you can define this array as a model input:
unsigned short a[3][4][5];
JASPER_INPUT_ARRAY(a);
LIMITATION: A multidimensional array can be an interface only if the
underlying element type is a numeric type or a Boolean. Multidimensional
arrays of SystemC integers are not supported as interface signals.
Clock Domain Crossing (CDC) App
----------------
* Advanced Violation Grouping Now Available
Though "basic" grouping, which groups violation from the same tag, is still
the default, you can now use the new "advanced" grouping option to group
violations from different tags if they have the same root cause.
Enable this option from the command line or the GUI:
. check_cdc -violation -group advanced
. The "Grouping" button on the CDC Violations toolbar now includes an
"Advanced Grouping" option.
The report was also updated to be compatible with the "advanced" grouping
option:
check_cdc -report -grouping advanced
See the full help (help check_cdc -gui) and the Tech Update for additional
information.
* Updated Brief Message for RDC_RS_GLCH
This release updates the brief message of the RDC_RS_GLCH violation as
follows:
Old: RDC_RS_GLCH (Error): "Potential glitch at '%s' due to more than one flop
from different reset domains combining into a combo logic"
New: RDC_RS_GLCH (Error): "Potential glitch at '%s' due to more than one flop
from different reset domains combining into a combo logic driving a
blackbox input or primary inout/output port"
* New "report_convergence_same_driver" Parameter for RST_RS_CONV
This release adds the new "report_convergence_same_driver" parameter,
which specifies whether RST_RS_CONV violations should be reported when the
same net drives all the inputs of the convergence. By default, this parameter
is "false", and RST_RS_CONV violations are not reported in this scenario.
* Grouping Information Now Shown on Analyze Violations Table
The Analyze Violations table now includes a "Grouped" column that shows the
grouping icon for violations that have multiple instances. Previously, this
icon was visible on the Review Violations tree only.
* New CDC RST_PH_GLCH Violation
This release adds the following reset violation:
RST_PH_GLCH (Error): "Potential glitch in the reset path between reset %s
and data signal %s"
* Reset Propagation Interrupted on Convergences with Different Polarities
Reset propagation is now interrupted on reset convergences when the
polarities of the reset signals driving the convergence do not match.
Thus, in addition to the RST_RS_CONV violation, the tool now reports
RST_NO_DECL on the output of a reset convergence with mismatched input
polarities and FLP_RS_UDCL on the flops whose reset condition pins are
driven by these convergences.
* New CDC Gate-level Commands
You can now use the following new CDC commands:
. set_cdc_gate_level_analysis_mode
Use this command to enable or disable CDC gate-level analysis. When enabled,
CDC analysis reports violations specific for gate-level designs.
. get_cdc_gate_level_analysis_mode
Use this command to return whether CDC gate-level analysis mode is enabled.
. check_cdc -name_map -file
Use this command to load a Conformal LEC ".map.do" file that contains keypoint
mapping information in the form of Conformal LEC add mapped point commands.
The mapped signals are used to translate "config_rtlds" commands and waivers
from an RTL analysis to the mapped signals in the gate-level analysis.
Note: This command is applied only when "set_cdc_gate_level_analysis_mode" is
enabled.
* Enhanced CLK_IS_CNST Check
CDC no longer issues constant clock violations (CLK_IS_CNST) for a clock
signal unless that clock signal is ultimately causing a flop to be driven by
a constant clock.
* Enhanced ECDC110
ECDC110 now provides more details when a hierarchical database has been
rejected due to mismatches between the instance port connection and the
ports declared in the hierarchical module.
* Enhanced Reset Synchronization Scheme Detection
CDC now detects both automatic and user-defined reset schemes that are
connected on a chain.
* New CDC Parameter
You can now use the following new parameter to specify whether the tool
detects NDFF schemes with logic that does not match the "sync_chain_logic"
rule between the NDFF synchronizer flops:
allow_sync_chain_logic (true | false)
When "allow_sync_chain_logic" is set to "false", CDC detects only those
schemes that match the logic between the NDFF chain flops defined by the
value of the "sync_chain_logic" parameter. This is the default.
When "allow_sync_chain_logic" is set to "true", CDC detects schemes even if
the logic does not match that of the "sync_chain_logic" parameter.
Use the following command to change the value:
config_rtlds -rule -parameter allow_sync_chain_logic = true
* New RDC Parameter
You can now use the following parameter to specify whether the tool detects
reset synchronizers with logic that does not match the
"reset_sync_chain_logic" rule between the reset synchronizer flops:
allow_reset_sync_chain_logic (true | false)
When "allow_reset_sync_chain_logic" is set to "false", CDC detects only those
reset schemes that match the logic between the NDFF chain flops defined by
the value of the "reset_sync_chain_logic" parameter. This is the default.
When "allow_reset_sync_chain_logic" is set to "true", CDC detects schemes
even if the logic does not match that of the "reset_sync_chain_logic"
parameter.
Use the following command to change the value:
config_rtlds -rule -parameter false\
-domain RDC
* Updated Strict Reset and Strict NDFF Detection Parameters
The "strict_reset_scheme_detection" and "strict_ndff_detection" parameters
now specify whether schemes should be detected only when all flops in the
chain are in the same hierarchy.
Clock Domain Crossing (CDC) App and Superlint App
-------------------------
* Enhanced Reporting for CDC and Superlint
This release enhances CDC and Superlint reports as follows:
. New or enhanced reports include Setup, Waivers, and Signoff.
. The "Generate Reports" dialog now includes tabs for "Setup"; "Violations
and Properties" (previously, the "General" dialog)'; "Waivers"; and
"Signoff" options.
. The TclHelp has been updated to document the new reporting options.
NOTE: See the full help (help check_cdc | check_superlint -gui), the 2024.03
Tech Update slides, and the CDC and Superlint user guides for additional
details.
Connectivity Verification App
---------------
* Expanded Digital Mixed Signal Support
Connectivity now supports connections using types defined in the Cadence
"cds_rnm_pkg.sv" and "EE_pkg.sv" packages. You must use the following
command before "analyze" to enable this functionality:
check_conn -init
Supported DMS types include the following:
. real, wreal
. wreal1driver, wreal4state, wrealmin, wrealmax, wrealsum, wrealavg
(cds_rnm_pkg)
. EEnet (EE_pkg types)
NOTE:
. Reverse Connectivity extraction is not yet supported.
. You need not use "check_conn -init" if the design does not support DMS
types.
. See the full help (help check_conn -gui) and the 2024.03 Tech Updates for
additional information.
* Report Tied-off Connections on Reverse Connectivity
The procedure for reporting connections tied to constants on Reverse
Connectivity is now properly documented in the Jasper Connectivity
Verification App User Guide.
Coverage App
------
* Adding Waivers to a Task
You can now use the following options to specify whether waivers are added
to a specific task or to the global environment:
check_cov -waivers -add [-task|-env]
NOTE: By default, the tool applies the waiver to the global environment.
Also with this change,
. "check_cov -waivers -get id" returns information on the relevant task if
applicable.
. "check_cov -waivers -edit" also supports the "-task" and "-env" options.
NOTE: See the full help for additional information (help check_cov -gui).
* New Switch Enables Generation of Cover Items Analyzed with "-v" or "-y"
***IMPORTANT: CHANGE IN TOOL BEHAVIOR***
To align with Xcelium, Coverage no longer generates cover items inside
modules analyzed with "-v" or "-y" switches by default. For backward
compatibility, you can do either of the following:
. Use the new "-enable_vy" switch with the "check_cov -init" command.
. Use "set_libcell_scoring -enable_vy" in the CCF file.
* All App Properties Except UNR Now Exported to UNICOV/VSOF By Default
***IMPORTANT: CHANGE IN TOOL BEHAVIOR***
By default, Jasper now exports properties from all Jasper Apps except UNR
to Unicov and VSOF for vManager.
This changes the behavior of the "database" command as follows:
. The new default for "database -init_unicov -app" is "all", which selects
all Jasper Apps except UNR. To export UNR to Unicov and VSOF, you must
use "database -init_unicov -app {unr}.
. The new default for "database -export_unicov -task" is the currently active
task.
For additional details, see the full help (help database -gui).
* Improved "check_cov -get_*" Commands
"check_cov -get_target_info" and "check_cov -get_cover_item_info" now return
Tcl dictionaries instead of lists.
* Cover Item Extension Now Supported
With this release, you can use the following command to set the
precondition and/or postcondition of the list of cover items specified
by "cover_item_id_list":
check_cov -extend cover_item_id_list
(-precondition expression |-postcondition expression)
[-task task_list]
Also with this change, "check_cov -get_cover_item_info" now includes options
for "precondition" and/or "postcondition".
See the full help for additional details (help check_cov -gui).
* Coverage No Longer Supports Waiving Toggle Cover Items with "-expression"
The Coverage App no longer supports waiving Toggle cover items based on
"-expression". For example, the following command now issues WCOV007:
check_cov -waiver -add -expression {a} -type {toggle} -comment {}
* Coverage Multi-Task Flow
It is now possible to run multiple "check_cov -measure -bg" commands in
parallel. Every "check_cov -measure -bg" command now returns an ID that you
can use with "check_cov -measure -stop" and "check_cov -measure -wait" to
stop a single command or wait for a single command to complete.
Functional Safety Verification (FSV) App
--------------------
* FSV Now Handles Simulation Design Manipulations
FSV now automatically reads force, replay, and deposit files written by
Xcelium when importing a fault database and considers its content during
structural analysis.
Low Power Verification (LPV) App
----------------
* Deprecated "-corrupt" Switch and "ports_only" Corruption Now Default
The "-corrupt" switch of the "check_lpv -generate_power_design" command is
deprecated with this release. By default, "check_lpv -generate_power_design"
now corrupts the output of the gates connected to the boundary interface of
the power domains and all sequential gates.
NOTE: Use the optional "-corrupt_sequential_only" switch to corrupt
sequential gates only.
* New UPF Command Supported by LPV
The LPV App now supports the following UPF command:
set_design_attributes -elements
-attribute 2.0
* New UPF Command Supported by LPV
The LPV App now supports the following UPF command:
set_isolation -applies_to_boundary
* Enhancing Logic Net Creation on UPF
For each logic port created on UPF, the tool checks if it is connected and, if
not, implicitly connects it to a net with the same name of the port. When the
net does not exist, the tool creates it.
Security Path Verification (SPV) App
------------------
* Expanded Support for Initial Value Abstractions
SPV now supports "-not_through" signals with initial value abstractions.
* SPV Now Supports All Reset Value Abstraction Switches
SPV now supports the following additional reset value abstraction switches:
"-expression", "-constant", "-condition_expression", "-bound", and
"sync_rest_hint". The syntax for these commands follows:
abstract -reset_value
flop_name_tcl_list [-expression expression |-constant]
[-condition_expression condition_expression]
[-bound N]
[-sync_reset_hint sync_reset_signal]
See the full help for additional information (help abstract -gui).
* Enhanced Support for Loop Handling
SEC, SPV, and X-Prop now support the following "loop_handling" options:
set_loop_handling (none | break | skip | break_functional)
"assume_stable" remains unsupported.
Also with this release, "set_loop_handling_fallback break" is supported.
The "assume_stable" and "skip" option of this command remain unsupported.
* Enhanced Tooltips in SPV and X-Prop
With this release, the following enhancements are available:
. SPV: The tooltip in Visualize now contains the tainted signal value for any
tainted signal.
. X-Prop: The tooltip in Visualize now contains the original and the tainted
signal value for any tainted signal.
Sequential Equivalence Checking (SEC) App
---------------------
* "set_sec_prove_cex_threshold" Deprecated
The "set_sec_prove_cex_threshold" command has been deprecated. Use
"set_prove_stop_on_cex_limit" instead to direct the "prove" command to
stop right after it reports the first "N" counterexamples.
NOTE:
. "set_sec_prove_cex_threshold" is maintained for backward compatibility but
will be removed in a future release.
. The "Stop after N counterexamples" setting previously available in the SEC
App "SEC Advanced Proof Settings" dialog has been moved to the FPV App
"Proof Settings" dialog.
* EPF060 Now Downgradeable
You can now use the following command to downgrade EPF060 to a warning:
set_message -warning EPF060
* New SEC Mapping
You can now use the "check_sec -map -abstract init_value" command to create
an init abstraction on both the spec and imp signals. This command creates a
mapping between two signals that results in FPV init abstractions for the
spec and imp signals as well as an init assumption abstraction between the
spec and imp.
* New SEC Switches "-fanout_of" and "-get_first_level_fanout"
You can now use the following SEC command:
. check_sec -list -mapping -fanout_of[-get_first_level_fanout]
Use the switch "-fanout_of" to specify that you want to list mapping pairs in
the fanout of the specified signal list. And use "-get_first_level_fanout" to
get mapping pairs for the first level of the fanout.
Note:
. The "-mapping" switch must be used to indicate that the required return
value is a mapping list instead of a signal list.
. If you do not use the "-get_first_level_fanout" switch, the command lists
all mappings in the fanout.
. The fanout calculation does not stop on blackbox, but continues to blackbox
outputs fanout.
. Use the "check_sec -list -fanout_of" command to specify that
you want to list signals in the fanout of the specified signal list. This
command does not support the switch "-get_first_level_fanout".
Superlint App
-------
* Generating CDC Reports Using the "check_cdc -list -file" Command
You can now use the new "check_cdc -list -file" command to generate a report
of the specified type in CSV format for the current CDC setup.
* Support for Division Operation Overflow in VHDL
ASG_AR_OVFL and EXP_AR_OVFL now support division operation overflow in
VHDL.
* Superlint Now Supports Additional Configuration for VHDL
Superlint now supports the "set_superlint_report_negative_result_overflow"
configuration for VHDL designs.
* New Superlint Checks
This release includes the following new Superlint checks:
. CODINGSTYLE
. REP_NR_FORX (Warning): "In design-unit '%s', the replicated expression
%s has 'x'"
. REP_NR_UPAC (Warning): "In design-unit '%s', replication %s is being
assigned/mapped to an unpacked array"}
. AUTO_FORMAL_ASSERTION_CODING (New Category in 2024.03)
. ASR_IS_CNFL (Error): "Assertion %s conflicts with one or more assertions
in the design"
NOTE: Use the "superlint_conflicting_assertions_remove_design_constraints"
configuration to control the behavior of this check. See the full help for
additional details
(help set_superlint_conflicting_assertions_remove_design_constraints -gui).
Visualize
-----
* Improved Tooltip Presentation in Hierarchy Tree
The tooltip in the Source Browser Hierarchy Tree now has a limited number of
characters. The remaining text is represented by an ellipsis ("...") at the
end of the text.
* "Visualize Options" Dialog Box Now Available for Infinite Traces
The "Visualize Options" dialog box is now available for infinite traces. In
this case, the "Maximum length" and "Minimum length" spin boxes and the
"Infinite Extension" check box are disabled.
* Liveness and Cover Properties Now Descriptionted by Default
Prior to this release, Visualize did not Description by default the property signal
for cover and liveness assertions. Fanin signals were Descriptionted instead. Now,
liveness assertions and cover properties follow the same Description pattern as
safety assertions, that is, their property signals are always Descriptionted when
opening the trace in Visualize.
Architecture
------
* New Configuration Improves Consistency of Jasper Regular Expressions
With this release, you can use the following command to enhance the
consistency of Jasper commands with regard to how they interpret regular
expression input:
set_regexp_mode (legacy | tcl | perl)
. Use "legacy" to maintain the previous behavior of the tool
. Use "tcl" to specify that all Jasper commands use Tcl regular expression
semantics.
. Use "perl" to specify that all Jasper commands use Perl-like semantics.
NOTE:
. In a future version of Jasper, "tcl" will become the new default mode.
. See the full help (help set_regexp_mode -gui) and the 2024.03 Tech Updates
for additional information.
Debug Handoff
-------
* Enabling Source Lock in Debug Handoff
Debug Handoff now supports Source Lock by default. If you enable Source Lock
during synthesis, Debug Handoff reads the locked files when generating the
bundle, ensuring design consistency between what is being debugged and what is
being sent.
Enabling Source Lock is highly recommended when saving Debug Handoff bundles.
This helps prevent both rare file system issues, such as symbolic link
mishandling, and user-adjacent issues, such as file overwriting between
synthesis and Debug Handoff operations.
Deep Bug Hunting
--------
* Deep Bug Hunting in a Single Proof Thread
You can now use the following command to specify that hunt properties be
proven in a single proof thread:
set_hunt_signle_proof_thread on
When running hunt strategies without this configuration enabled, which is the
default, the engine instances with the specific strategy settings are
launched in multiple proof threads. When you enable this feature, all engine
instances run under a single proof thread. Also, a custom engine is created
for each strategy setting, and you see the custom engines running instead of
the base engine.
NOTE: This mode is applicable only to "cycle_swarm", "bound_swarm", and
"simulation" hunt strategies in standalone mode (without "-use_strategy" and
"-auto"), and this setting is ignored when not applicable.
* Hunt Strategies Now Support Local Bounded Constraints
You can now use the following command to apply the specified constraint
for N number of cycles after reset:
hunt -config -strategy-add_constraint-bound
By default, a constraint applies to all cycles.
Design Compilation
---------
* New VERI-2753 Error
Jasper now issues message VERI-2753 when a UDP has more than one output port:
[ERROR (VERI-2753)] test.v(3): UDP can have only one output port
* Reduction Methods Using "item.index" Now Supported
Jasper now supports reduction methods using "item.index".
Design Compilation and Miscellaneous
------------------
* "analyze" Help Now Includes "-sv17" Switch
The "analyze" help now includes information on the "-sv17" switch option.
Design Exploration
---------
* Added Options to Configure Source Browser Line Limit and Max ✅File Size
The following new options for the "set_preference" command let you configure
the Source Browser line limit and maximum ✅File Size in MB:
. Key : SourceCodeBrowser/LargeFileSizeThresholdMB
. Type: Int
. Name: Source Browser > Large ✅File Size threshold in MB
. Key : SourceCodeBrowser/LargeFileMaxLineCount
. Type: Int
. Name: Source Browser > Large file maximum line count
NOTE: Any changes are applied to the next Source Browser window opened.
* Jasper Source Browser Can Now Open Gzipped Files
Use the optional "-enable_gzip_handling" switch with "analyze" to enable
the Jasper Source Browser to open analyzed files even if they are gzipped.
NOTE:
. The "Edit Source" context-menu option is disabled when you use the
"-enable_gzip_handling" switch.
. Gzip handling will be made default in 2024.09.
Engines
----
* Enhanced Messaging to Include Job ID
This release improves the LSF sanity check by warning you if there are
insufficient slots for an engine job. The message now includes the
LSF job ID of the job that is causing the problem.
* New "check_assumptions" Command Runs Without Invoking Q Engines
You can now use "check_assumptions -simplification_only" to run only
preprocessing and simplification without invoking regular check assumptions
Q engines. This can be useful when "proof_simplification" can determine the
property without providing a trace, and the Q engines do not converge.
* Extended Help for WPF091
This release adds detailed help for WPF091, which is issued when you convert
an assertion with trace extension into an assumption. Access the extended
help with the following command:
help -message WPF091
* Bit-Level Multiplier Solvers Removed from WHp, WHt, and WB Engines
***IMPORTANT: CHANGE IN TOOL BEHAVIOR***
With this release, bit-level solvers are being removed from engines WHp, WHt,
and WB due to the availability of more powerful engines for bit-level
multiplication. You must now explicitly use WA1, WA2, and WA3 engines instead
of WHp, WHt, and WB on your bit-level multiplier proofs.
Front End
-----
* Jasper P2P Flow Now Supports "-newperf"
With the 2024.03 release, the Jasper P2P flow supports Xcelium built
snapshots with the "-newperf" switch. Compatible Xcelium versions include the
following: 24.03-a071 and 24.03-s002
Infrastructure
-------
* Deprecated "-nice" License Feature Reaches Error Phase
This release continues the deprecation of the nice license feature, which
began with 2023.12. The following changes have been made.
. Jasper now issues the following error message to stderr and immediately
exits with status 1 if you use the "-nice" or "-license_remove_method"
command-line switches.
ERROR (ESW193): Command line switchis deprecated and will be
removed in the next release.
Use "-allow_deprecated_switches" to start Jasper with the switch.
. The command-line switch "-allow_deprecated_switches" has been added. When
used together with "-nice" or "-license_remove_method", Jasper issues
ESW193 as a warning instead of an error and launches the tool.
NOTE: If this switch is used when no deprecated switch is present, it is
a no-op.
. Jasper no longer attempts to remove ("kill") nice licenses by
default when it fails to check out a license. That is, with respect to
the nice license feature, Jasper now behaves as when previous versions
were started with "-license_remove_method off". This also means that the
meaning of "-license_remove_method default" has changed. It is now
equivalent to "-license_remove_method off". Previously, it was equivalent
to "-license_remove_method lmremove". If you still want the old default
behavior, you must now start Jasper with "-license_remove_method
lmremove -allow_deprecated_switches".
. The deprecation process for Jasper configuration
"proofgrid_per_engine_privileged_jobs" has reached the next level, which
means that its set and get commands now issue deprecation error EDEP319,
which is downgradable with "set_message -warning EDEP319".
* Updated Minimum Version of "jasper_*" License Check Out
When Jasper attempts to check out licenses with names starting with
"jasper_", for example, "jasper_fpv" or "jasper_interactive", it now
requests that the licenses be of version 2022.0 or later. Previously, it
requested that the version be 2021.0 or later.
NOTE:
. This change applies to licenses with the prefix "jasper_" only. If
Jasper attempts to check out some other license, there is no change.
. This change applies to Jasper only. Other products or binaries that might
check out "jasper_" licenses are not affected.
Language Support
--------
* Jasper Issues VERI-2776 for Streaming Concatenation without Explicit Typecast
Jasper now issues the following warning if you use streaming concatenation as
an argument of system task or function without explicit typecast:
[WARN (VERI-2776)] test2.v(10): stream operands require explicit typecast
to be used as argument of system task/function
This is in accordance with section 11.4.14 Streaming operators of
SystemVerilog '17 LRM as follows:
It shall be an error to use a streaming_concatenation as an operand in an
expression without first casting it to a bit-stream type.
VERI-2776 can be upgraded to an error using "set_message -error VERI-2776".
* Jasper Now Supports Select on Function Calls
Jasper now supports select on function calls, for example,
if (getvalue()[1:0] == 2'b10) begin
However, the tool issues VERI-2775, which indicates that this is not in
accordance with SystemVerilog LRM:
[WARN (VERI-2775)] design.sv(19): select on function call violates
IEEE 1800 syntax
Miscellaneous
-------
* Proof Structure Now Supports Assume Guarantee with Abstractions
The "assume node" supports further editing as long as no operation is
created from it. Supported edits include the following:
. Inserting stopats and init value abstractions: use commands "stopat" and
"abstract -init_value".
. Removing and clearing assumptions: use commands "assume -remove" and
"assume -clear".
. Adding reset value abstractions and counter abstractions: use commands
"abstract -reset_value" and "abstract -counter".
NOTE:
. The assume node does not accept the "abstract -reset_value" command
switches "-condition_expression", "-expression" or "-constant".
. Editing the assume node might change the applicable propagation rules.
* Jasper FSV Safety Manual Now Available
You can now access the Jasper FSV Safety Manual using the "Help > Application
Guides > Safety Manual" menu option.
The safety manual contains relevant safety information for the Functional
Safety Verification (FSV) product, developed and pre-qualified to be suitable
for use in the development of projects up to Automotive Safety Integrity Level
(ASIL) D. This document was created to assist product developers using
safety-related processes for safety-critical applications that use Jasper FSV
in compliance with the ASIL D requirements of the ISO 26262:2018 standard. It
also provides information regarding the use of functional safety with the
Jasper FSV App.
Note: The safety manual is applicable to version 2022.09 of Jasper FSV.
* New Commands for Gate-level Compilation
You can now use the "set_gate_level_compilation_mode" command to control
optimizations during gate-level design compilation. When enabled, messages
with the same ID are limited to 20 repetitions during elaboration.
This release also introduces two other commands related to the gate-level
compilation: "analyze -gate_level" and "set_message -limit".
See the full command help for additional information.
Proofs and ProofGrid
----------
* Proof Structure Now Supports Counter and Reset Value Abstractions
You can now add reset value abstractions and counter abstractions to Proof
Structure General Underconstraint (GU) nodes as follows:
abstract -reset_value
abstract -counter
NOTE: The following "abstract -reset_value" switches are not supported:
. -condition_expression
. -expresssion
. -constant
And you can remove reset value abstractions and counter abstractions from
General Overconstraint (GO) nodes as follows:
abstract -reset_value -remove
abstract -counter -remove
* Ignoring Disabled Properties when Copying to Proof Structure Nodes
You can now use the "-exclude_disabled_properties" switch with
"proof_structure -init" to ignore disabled covers,
assumptions, and assertions. The syntax follows:
proof_structure -init
[( -copy_all |-copy_assumes |-copy_asserts |-copy_covers)+
[-exclude_disabled_properties]]
NOTE: "-exclude_disabled_properties" excludes all disabled properties, that
is, there is no way to filter only disabled covers while copying disabled
assertions.
* Orchestration Now Enabled by Default
The "set_prove_orchestration" command is now enabled by default, and the
previous default option "auto" is deprecated. You can disable this feature
using "set_prove_orchestration off".
* ProofMaster Now Supported by "check_assumptions"
***IMPORTANT: CHANGE IN TOOL BEHAVIOR***
Now, when you use the "check_assumptions" command and ProofMaster is on, the
flow goes through ProofMaster by default. To disable this feature, disable
ProofMaster as follows:
set_proofmaster off
State Space Tunneling (SST)
--------------
* New Property Table Context Menu Option Clears SST Attribute
The Property Table context menu now includes the option to clear SST and
Helper attributes from properties.
User Interface
-------
* Property Table Now Includes Type Assert(SST)
The Property Table "Type" column now includes the attribute SST for
assertions marked as targets for an SST proof. This new attribute is also
available in the "get_property_info" and "get_property_list" commands and
visible in the return of the "report" and "task" commands.
* Enhanced Time Column of Property Table
Previously, it a property was proven very quickly, for
example, in 0.04s, the Time column of the Property Table
rounded down to show 0.0s. Now, the Time column shows <0,1
instead.
Verification Management
------------
* "save" and "restore" Now Support Restoring "connect" Commands
The unified "save" and "restore" commands now support restoring "connect"
commands when restoring the elaborated design. Previously, these commands
restored RTL commands only.
vManager Integration
----------
* File Paths Now Relative in Waveform Scripts
File paths are now relative instead of absolute in waveform scripts generated
by "database -init -waveform" or "jg -cov_init -waveform".
Resolved Issues
====
The following reported issues have been fixed in 2024.03.
Architectural Modeling App
-------------
* New Configuration Provides Option to Nest Conditional if Statements
You can now use the following configuration to specify whether ARCH table
input conditions are grouped into a single-line conditional "if" statement or
broken into multiple nested conditional "if" statements:
set_arch_input_conditions_nested (true | false)
The default is "false".
* Updated Format of Return for ARCH List Commands
With this release, the output of the "check_arch -list" commands has been
updated as follows:
. Output for "check_arch -list table":
table1 module2.table2 module3.table3
. Output for "check_arch -list step":
step1 module2.step2 module3.step3
. Output of "check_arch -list input":
{[N-1:0] in1} {[N-1:0] module2.in2}
. Output of "check_arch -list param" and "check_arch -list lparam":
{P1=1} {module2.P2=1} {module3.P3=1}
NOTE: In the examples above, "table1", "step1", "in1", and "P1" are from the
top module and "bind" has not been used.
C to RTL Equivalence Checking (C2RTL) App
---------------------
* Compiler Now More Aligned with gcc
Prior to this release, the return value type might not have matched the
function in c++14. This release resolves the issue, and the compiler
is now more aligned with gcc.
* Signal Mappings Table Now Working as Expected
The Signal Mappings table now shows the mappings as expected when you launch
FPV first and then open the C2RTL GUI without having used "-c2rtl" on setup.
CSR Verification App
----------
* Corrected Issue with "virtual_net" in CSV Files
Jasper now issues the following message if you use a "virtual_net" on CSV
files while running CSR:
ERROR (ECSR024): Specified signal %s does not exist in design.
Clock Domain Crossing (CDC) App
----------------
* Exporting Range Restricted Integer Candidates
In the metastability injection flow, integer candidate members of arrays and
natural or positive candidates are now exported successfully to simulation
even if they are range restricted.
* CDC Now Removes "~" Character from Clock Names Declared in SDC Files
CDC no longer starts the names of clocks declared in SDC files with the "~"
character.
* Improved CDC Handling of "set_multicycle_path" Commands
CDC no longer issues warning messages when your SDC files contains
"set_multicycle_path" commands.
* Improved Sync Enabler Scheme
This release includes the following improvements for the sync enabler
scheme:
. CDC no longer rejects sync enablers just because they contain multiple
source clocks in the parameter "srcdomainlist".
. Warning messages issued at sync enabler rejection were enhanced.
. The "auto_potential_sync_enabler_detection" parameter, which replaced the
"-aggressive" switch, was added to the CDC rule files. This parameter
specifies whether undeclared (potential) sync enablers should be
heuristically detected, thus trying to cover unsynchronized pairs after all
composite schemes have been detected. The default for this parameter is
"false"
* Improved CNV_ST_GLCH and CNV_ST_CONV Checks
CNV_ST_GLCH and CNV_ST_CONV violations now automatically waived as expected
when mutually exclusive paths are selected by a static signal.
* Resolved Unexpected Exit with Top-Level run
CDC no longer exits unexpectedly when you load a CDC hierarchical database
in a non-top instance using the "-instance" switch.
* CDC No Longer Detects NDFF Synchronizers with Internal Async Connections
CDC no longer automatically detects NDFF synchronizers when the chain flops
are destinations of asynchronous data path crossings. The only exception is
the first flop of the chain, which corresponds to the data input of the
synchronizer. These new restrictions might result in fewer automatically
detected synchronizers.
Connectivity Verification App
---------------
* Fixed Performance Regression for "check_conn -validate"
After the 2023.09 addition of the new "-include" switch, the "check_conn
-validate" command might have stopped passing when the source is constant
but the destination is a design parameter. This release resolves the issue.
* Resolved Issue with Nested Aliases
Connectivity now handles nested aliases as expected even if the aliases are
defined in different CSV files.
* Improved Messaging for Comments Inside ALIAS Cells
Connectivity now consistently returns the following error for comments inside
ALIAS cells:
EWB045 (Error): Unable to find source signal "%s" in connection "%s".
* Blackbox Assistant New Requisite
The undefined module parent is now also required by the Blackbox Assistant.
Coverage App
------
* "check_cov -save" Now Issues Error for Missing Permissions
"check_cov -save" now issues an error when you do not have permission to
write to the path passed. Also, this command now returns the database file
path that has been generated.
Coverage Unreachability (UNR) App
-----------------
* Resolved Issues Causing UNR to Exit Unexpectedly
This release resolves multiple issues that might have previously led to an
unexpected exit when you used the 3-step xrun flow to launch UNR.
Two issues were related to mixed-language and use of generate inside config
block of SystemVerilog as follows:
config Conf; {
design work.top;
instance top.mod.gen[#].vhdlEntity.gen2[#].botEntity liblist lib;
}
The third issue was related to a loop limit acting in a generate-for when
that generate was used inside the config:
config Conf; {
design work.top;
instance top.mod.for_generate[#].bot liblist lib;
}
* Resolved Issue with Missing Expressions
UNR now properly handles the "set_expr_coverable_statement all" CCF
setting for expressions.
Functional Safety Verification (FSV) App
--------------------
* Improved Help for "check_fsv -strobe -add"
TclHelp for "check_fsv -strobed -add" now includes details for the
"property_list" option as expected.
Low Power Verification (LPV) App
----------------
* Improved LPV Functional Check "assert_pd_supply_change_clk_stable"
The LPV functional check "assert_pd_supply_change_clk_stable" now uses
signals on the power domain boundary to create the properties.
Security Path Verification (SPV) App
------------------
* SPV Instance Tree and Task Tree Now Display Task Progress in the Same Order
The instance tree now displays the progress of the task in the same order as
the task tree ("proven : unknown : cex : conditionally proven").
Sequential Equivalence Checking (SEC) App
---------------------
* Defining Max Jobs when Using "check_sec -prove -no_auto"
You can now use the "set_proofgrid_engine*_max_jobs" commands to define max
jobs for the following engines when using "check_sec -prove -no_auto":
. Engine L
. Engine Q3
. Engine J
. Engine U
. Engine U2
Superlint App
-------
* Improved IDX_NR_ORNG and IDX_NR-LBOU Checks
This release adds support of part select up and down for the IDX_NR_ORNG and
IDX_NR_LBOU violations.
* Disabled Frontend Violations for Liberty Modules
Superlint no longer reports any frontend violations (basic lint or synthesis)
for Liberty modules.
* Resolved Issue with if/else and Case Statements in VAR_NR_MBLA
Superlint no longer reports spurious VAR_NR_MBLA violations for same blocking
assignments in different levels of nested if/else.
* Superlint No Longer Issuing Spurious INS_MS_PRMS Violations
Superlint no longer issues spurious INS_MS_PRMS violations in the presence of
unpacked arrays.
Visualize
-----
* Resolve Issue with Incorrect Time Scale
Previously, Jasper multiplied the time scale by five when you saved an FSDB
or SHM file with "visualize -save... -time_scale". This release resolves the
issue, and "visualize" now respects the time scale specified.
* Improved Scrolling in Visualize
Scrolling now affects all visible panes as expected when there are multiple
panes open in Visualize (such as Anchor or Preview Why panes).
Combinational loop
---------
* New Command to Change Loop Limit in Simulation
You can now use the "set_simulation_max_loop_limit" command to set the maximum
number of times that the simulation can try to find a value for a net without
converging before issuing an error.
Deep Bug Hunting
--------
* Resolved Issue with "-max_jobs" when Running Hunt Simulation Strategy
Prior to this release, when running the hunt "simulation" strategy with
multiple engine modes, the tool muliplied "-max_jobs" by the number of engine
modes. This release resolves the issue, and "-max_jobs" is now respected when
the "simulation" strategy is run with more than one engine mode.
Design Compilation
---------
* Fixed Issue with Design Elaboration
Jasper now properly elaborates designs connecting external references to
blackboxed arrays.
* Jasper Now Assumes the Correct Value for Combinational UDP in All Scenarios
Jasper now synthesizes an X as output for any unspecified transition in the
Combinational UDP definition. This is in accordance with section 29.4 of
the SV-'17 LRM:
Section 29.4:
All combinations of the inputs that are not explicitly specified will drive
the output state to the unknown value x.
To revert to the old behavior, use the following command:
set_missing_entries_as_x_in_comb_udp off
* New VERI-2755 Warning
Jasper now issues the following warning when a net is used as an operand of
a streaming concat operator in the LHS of an assignment:
[WARN (VERI-2755)] test.v(3): using net as an operand of streaming concat
operator in LHS is not allowed
This message can be upgraded to an error using "set_message -error VERI-2755".
* Improved Elaboration Time of Free X Nets
This release improves the elaboration time for designs with millions of free
x nets.
* Semi-Colon Now Optional at End of "property_spec"
This release fixes a spurious syntax error that was forcing the property
declaration to end with a semi-colon.
* VERI-1990 Now Downgradeable
You can now downgrade VERI-1990 to a warning using the following command:
set_message -warning VERI-1990
* Improved VERI-2706
Jasper no longer issues VERI-2706 when you use a function from an interface
instantiated in a module.
Design Exploration
---------
* "get_design_info" Now Lists Constants of VHDL Packages in Mixed Designs
"get_design_info -package -list constant" now shows constants inside VHDL
packages in mixed designs.
Infrastructure
-------
* Resolved Issue with Incorrect Message for ESW099
Jasper now issues ESW098 instead of ESW099 when property names have wildcard
characters.
Language Support
--------
* Resolved Issue with Calling Two-Layered Interface Task from Module
Jasper now correctly calls an interface task when it is called in a
two-layered task from a module.
Miscellaneous
-------
* Aligned Descriptions of Engine QT
The description of engineQT in the Jasper Engine Selection Guide and the
Jasper Command Reference are now aligned.
* Proof Results Now Consistent for Tcl/SVA with Divide by Zero Condition
Tcl properties and SVA properties with divide by zero conditions now return
the same proof results.
Proof Accelerator
---------
* jasper_scoreboard_3 No Longer Issuing VERI-1220 with LITE_CHECKS
Prior to this release, jasper_scoreboard_3 might have issued VERI-1220 when
you used LITE_CHECKS. This release resolves the issue.
* Resolved Issue with Jasper Scoreboard 3
Both "incoming_selected" and "outgoing_selected" are now reordered as
expected according to the "PORT_ORDER" parameter.
User Interface
-------
* Improved Performance of "sanity_check" Command
This release improves the performance of the "sanity_check" command, which
might have previously caused the Jasper Expert System to hang.
Verification Management
------------
* Improved "check_assumptions" Command
"-minimize" is now a subcommand of "check_assumptions", and the switches
that control "-minimize" are accepted only when "-minimize" is used.
* Disabling SystemVerilog Assumptions from the GUI
Previously, you might not have been able to disable embedded SystemVerilog
assumptions from the GUI after restoring. This release resolves the issue.
* Saving and Restoring a Design that Uses "reset -sequence"
Jasper no longer issues errors when you save a design that uses "reset
-sequence" and then restore it in another environment.
Deprecation Notices
=====
The following commands/variables are being deprecated.
The tool issues a warning if you use the following commands. No changes to
your scripts are required at this time; however, in a future release, these
commands will trigger a downgradable error message, and ultimately, they will
be retired. We strongly encourage you to adjust your scripts as soon as it
is practical to do so.
. get_sec_prove_cex_threshold: Use "get_prove_stop_on_cex_limit" instead.
. set_sec_prove_cex_threshold: Use "set_prove_stop_on_cex_limit" instead.
. set_proof_orchestration auto: The "set_proof_orchestration" command is now
enabled ("on") by default.
. prove -orchestration auto: Use value "off" or "on" (default) instead.
The following commands have been deprecated, and any use of them triggers a
downgradable error message. Remove them from your scripts or use
"set_message -warning". These commands will be retired in a future version of
the tool.
. get_proofgrid_per_engine_privileged_jobs triggers EDEP319: Remove it or use
"set_message -warning EDEP319" to downgrade this message to a warning.
. set_proofgrid_per_engine_privileged_jobs triggers EDEP319: Remove it or use
"set_message -warning EDEP319" to downgrade this message to a warning.
. jg -nice triggers ESW193: Use "-allow_deprecated_switches" to start Jasper
with the switch.
. jg -license_remove_methodtriggers ESW193: Use
"-allow_deprecated_switches" to start Jasper with the switch.
. visualize -load -virtual_clock_period triggers EDEP322
. visualize -confirm -virtual_clock_period triggers EDEP322
. visualize -liveness_loop_unroll triggers EDEP318: Use the
"visualize -loop_unroll" command instead or use "set_message -warning EDEP318"
to downgrade this message to a warning.
. add_tx_attribute triggers EDEP320
. remove_tx_attribute triggers EDEP320
. get_tx_attribute triggers EDEP320
The following commands are retired. They no longer have any effect, and you
should remove them from your scripts.
. check_cdc -structural_cell
. check_superlint -export -extended_property_name
. get_export_sva_use_escaped_identifier_with_extended_names
. set_export_sva_use_escaped_identifier_with_extended_names
. set_proof_progress_bar
. session -exportSVA
. set_engineL_ignore_trace
. set_enhanced_property_status
. get_enhanced_property_status
Jasperis the premier electronic design automation (EDA) supplier of high-level formal functional verification software. All the big 3 already have their own formal technology but the technology the leading companies seem to depend on most heavily is Jasper's JasperGold technology, which is perceived as having the most advanced technology. Cadence put the Jasper technology together with their existing Incisive technology. In fact, they put it together will all their verification technology: Verilog simulation, Palladium emulation, virtual platforms and more. The theme in verification these days is to take all the various approaches and unify them so that they use the same debuggers, same user interfaces, take the same inputs, assertions and then put some sort of metric-driven methodology together so that the most appropriate technology is used without overlap (so you don't waste time using simulation to test something that has already been formally proven, for example).
Simplifying Formal 3: The JasperGold Visualize Debug Environment - Gargi Sharma
Gargi demonstrates the powerful yet easy-to-use formal exploration and debug capabilities of the JasperGold GUI and Visualize environment.
Jasper Design Automation, Inc.is an electronic design automation company developing verification system that provides bug detection and debugging solutions.The company's products include JasperGold verification system that provides bug detection and debugging solutions; and GamePlan verification planner Web site. The company was founded in 1999 as Tempus Fugit, Inc. and changed its name to Jasper Design Automation, Inc. in 2003. Jasper Design Automation, Inc. is based in Mountain View, California.
Cadenceis a pivotal leader in electronic design and computational expertise, using its Intelligent System Design strategy to turn design concepts into reality. Cadence customers are the world's most creative and innovative companies, delivering extraordinary electronic products from chips to boards to systems for the most dynamic market applications.
Cadence Design Systems acquired Jasper Design Automation, Inc on 2014-04-21. The completion of this transaction expands differentiation of Cadence's System Development Suite, Cadence's flagship system design and verification platform. Integration of Jasper's solutions with Cadence's connected debug analysis and software and hardware verification platforms will improve customers' ability to leverage Cadence's unified verification planning, metric-driven verification flow, and extensive dynamic and formal Verification IP portfolio for embedded processor system verification.




Links are Interchangeable - No Password - Single Extraction
Poproshajka




Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.